爱问知识人 爱问教育 医院库

用VHDL语言编写自动售货机程序

首页

用VHDL语言编写自动售货机程序

系统能自动销售货物,自动完成对货物信息的存储、进程控制、硬币处理、余额计算和显示等功能。 
自动售货机可以管理4种货物,每种的数量和单价在初始化时输入,在存储器中存储;用户可以用硬币进行购物,利用按键进行选择;售货时能够根据用户投入的硬币,判断钱币是否够,钱币足够则根据顾客要求自动售货,钱币不够则给出提示并退出;能够自动计算出应找钱币余额、库存量。
谁帮我做做吧...做的好再给加分

提交回答

类似问题

换一换

相关推荐

正在加载...
最新资料 推荐信息 热门专题 热点推荐
  • 1-20
  • 21-40
  • 41-60
  • 61-80
  • 81-100
  • 101-120
  • 121-140
  • 141-160
  • 161-180
  • 181-200
  • 1-20
  • 21-40
  • 41-60
  • 61-80
  • 81-100
  • 101-120
  • 121-140
  • 141-160
  • 161-180
  • 181-200
  • 1-20
  • 21-40
  • 41-60
  • 61-80
  • 81-100
  • 101-120
  • 121-140
  • 141-160
  • 161-180
  • 181-200
  • 1-20
  • 21-40
  • 41-60
  • 61-80
  • 81-100
  • 101-120
  • 121-140
  • 141-160
  • 161-180
  • 181-200

热点检索

  • 1-20
  • 21-40
  • 41-60
  • 61-80
  • 81-100
  • 101-120
  • 121-140
  • 141-160
  • 161-180
  • 175-194
返回
顶部
帮助 意见
反馈

确定举报此问题

举报原因(必选):