爱问知识人 爱问教育 医院库

verilog怎样实现顶层文件调用其他模块?急!

首页

verilog怎样实现顶层文件调用其他模块?急!

提交回答

全部答案

    2020-02-29 16:52:31
  • clk u1_clk(clkin,mclk);
    div u2_div(clkin,counti,bclk1);
    div1 u3_div1(bclk1,count,wclk1);
    data u4_data(data_in,bclk1,wclk1,data_out);
    clk.v, div.v, div1.v data.v须放当前目录并添加到当前project. 并设置谁是top-level或者
    顶层文件模块module写完后空几行。把子模块的内容拷贝过来,综合后也要设top。如下
    module audio...
    ...
    endmodule
    module clk...
    ...
    endmodule
    module div...
    ...
    endmodule
    ...
    综合完在hierachy模式下能看到相互调用,综合无误的情况下,RTL viewer也能看到。 

    繁***

    2020-02-29 16:52:31

类似问题

换一换
  • 电脑/网络 相关知识

  • 电脑网络技术
  • 电脑网络

相关推荐

正在加载...
最新资料 推荐信息 热门专题 热点推荐
  • 1-20
  • 21-40
  • 41-60
  • 61-80
  • 81-100
  • 101-120
  • 121-140
  • 141-160
  • 161-180
  • 181-200
  • 1-20
  • 21-40
  • 41-60
  • 61-80
  • 81-100
  • 101-120
  • 121-140
  • 141-160
  • 161-180
  • 181-200
  • 1-20
  • 21-40
  • 41-60
  • 61-80
  • 81-100
  • 101-120
  • 121-140
  • 141-160
  • 161-180
  • 181-200
  • 1-20
  • 21-40
  • 41-60
  • 61-80
  • 81-100
  • 101-120
  • 121-140
  • 141-160
  • 161-180
  • 181-200

热点检索

  • 1-20
  • 21-40
  • 41-60
  • 61-80
  • 81-100
  • 101-120
  • 121-140
  • 141-160
  • 161-180
  • 181-200
返回
顶部
帮助 意见
反馈

确定举报此问题

举报原因(必选):